Manufaktur industri
Industri Internet of Things | bahan industri | Pemeliharaan dan Perbaikan Peralatan | Pemrograman industri |
home  MfgRobots >> Manufaktur industri >  >> Industrial programming >> Verilog

Verilog Halo Dunia

Itu selalu yang terbaik untuk memulai menggunakan contoh yang sangat sederhana, dan tidak ada yang memberikan tujuan terbaik selain "Hello World !".

  
  
// Single line comments start with double forward slash "//"
// Verilog code is always written inside modules, and each module represents a digital block with some functionality
module tb;

  // Initial block is another construct typically used to initialize signal nets and variables for simulation
	initial
		// Verilog supports displaying signal values to the screen so that designers can debug whats wrong with their circuit
		// For our purposes, we'll simply display "Hello World" 
		$display ("Hello World !");
endmodule

  

Sebuah module disebut tb tanpa port input-output bertindak sebagai modul teratas untuk simulasi. initial blok memulai dan mengeksekusi pernyataan pertama pada waktu 0 unit. $display adalah tugas sistem Verilog yang digunakan untuk menampilkan string yang diformat ke konsol dan tidak dapat disintesis ke dalam perangkat keras. Ini terutama digunakan untuk membantu dengan testbench dan debug desain. Dalam hal ini, pesan teks yang ditampilkan di layar adalah "Hello World !".

Log Simulasi
ncsim> run
Hello World !
ncsim: *W,RNQUIE: Simulation is complete.


Verilog

  1. C# Hello World - Program C# Pertama Anda
  2. Program Halo Dunia Java
  3. Tutorial Verilog
  4. Rangkaian Verilog
  5. Tugas Verilog
  6. Pemblokiran &Non-Pemblokiran Verilog
  7. Fungsi Verilog
  8. Tugas Verilog
  9. Generator Jam Verilog
  10. Fungsi Matematika Verilog