Manufaktur industri
Industri Internet of Things | bahan industri | Pemeliharaan dan Perbaikan Peralatan | Pemrograman industri |
home  MfgRobots >> Manufaktur industri >  >> Industrial programming >> Verilog

D Flip-Flop Async Reset

A D flip-flop adalah elemen berurutan yang mengikuti pin input d di tepi jam tertentu.

Desain #1:Dengan reset aktif-rendah async

  
  
module dff 	( input d,
              input rstn,
              input clk,
              output reg q);
	
	always @ (posedge clk or negedge rstn) 
       if (!rstn)
          q <= 0;
       else
          q <= d;
endmodule

  

Skema Perangkat Keras

Testbench

  
  
module tb_dff;
	reg clk;
	reg d;
	reg rstn;
	reg [2:0] delay;
	
    dff  dff0 ( .d(d),
                .rsnt (rstn),
                .clk (clk),
                .q (q));
    
    // Generate clock
    always #10 clk = ~clk;
                   
    // Testcase
    initial begin
    	clk <= 0;
    	d <= 0;
    	rstn <= 0;
    	
    	#15 d <= 1;
    	#10 rstn <= 1;
    	for (int i = 0; i < 5; i=i+1) begin
    		delay = $random;
    		#(delay) d <= i;
    	end
    end
endmodule

  

Desain #1:Dengan penyetelan ulang aktif-rendah sinkronisasi

  
  
module dff 	( input d,
              input rstn,
              input clk,
              output reg q);
	
	always @ (posedge clk) 
       if (!rstn)
          q <= 0;
       else
          q <= d;
endmodule

  

Skema Perangkat Keras

Testbench

  
  
module tb_dff;
	reg clk;
	reg d;
	reg rstn;
	reg [2:0] delay;
	
    dff  dff0 ( .d(d),
                .rsnt (rstn),
                .clk (clk),
                .q (q));
    
    // Generate clock
    always #10 clk = ~clk;
                   
    // Testcase
    initial begin
    	clk <= 0;
    	d <= 0;
    	rstn <= 0;
    	
    	#15 d <= 1;
    	#10 rstn <= 1;
    	for (int i = 0; i < 5; i=i+1) begin
    		delay = $random;
    		#(delay) d <= i;
    	end
    end
endmodule

  

Verilog

  1. Pengantar Verilog
  2. Isi Ulang, Atur Ulang, Konfigurasi Ulang
  3. Konvergensi TI/OT:Peluang untuk Reset Budaya
  4. Tutorial Verilog
  5. penghitung 4-bit
  6. Penghitung Mod-N Verilog
  7. Penghitung Abu-abu Verilog
  8. Kesalahan PID:Reset Windup
  9. 74LS74:Panduan Lengkap Dual Flip-flop
  10. Apa itu Tombol RESET pada Panel Operator CNC