Manufaktur industri
Industri Internet of Things | bahan industri | Pemeliharaan dan Perbaikan Peralatan | Pemrograman industri |
home  MfgRobots >> Manufaktur industri >  >> Industrial Internet of Things >> Tertanam

Xilinx mengintegrasikan HBM yang ditumpuk untuk mengatasi bandwidth dan keamanan

Xilinx telah meningkatkan permainannya dalam mengatasi kemacetan kinerja di jaringan dan pusat data, dengan seri baru dalam portofolio platform akselerasi komputasi adaptif (ACAP) Versal yang mengintegrasikan memori bandwidth tinggi (HBM) untuk memungkinkan akselerasi komputasi cepat untuk kumpulan data besar yang terhubung dengan server dengan biaya lebih sedikit dan lebih rendah.

Seri Versal HBM-nya yang baru mengintegrasikan DRAM HBM2e canggih, memberikan throughput 820GB/dtk dan kapasitas 32GB untuk bandwidth memori 8X lebih banyak dan daya 63% lebih rendah daripada implementasi DDR5 (perbandingan berdasarkan implementasi sistem tipikal dari empat komponen DDR5-6400). Xilinx mengatakan seri Versal HBM dirancang untuk memenuhi kebutuhan memori yang lebih tinggi dari aplikasi yang paling intensif komputasi dan terikat memori untuk pusat data, jaringan kabel, pengujian dan pengukuran, serta kedirgantaraan dan pertahanan.

Dalam briefing dengan embedded.com, Mike Thompson, manajer lini produk senior untuk Xilinx Versal FPGAs, mengatakan, “Ada tiga tren utama saat ini:pertumbuhan eksponensial lalu lintas jaringan dan data yang akan diproses; Ketersediaan bandwidth DDR yang menyebabkan kemacetan kinerja; dan yang ketiga adalah keamanan data. HBM Versal meningkatkan kapasitas masing-masing dari ketiga lapisan ini, terutama karena bandwidth dan persyaratan keamanan melampaui teknologi pemrosesan dan memori saat ini.”

Seri Versal HBM menggunakan memori bandwidth tinggi yang terintegrasi menggunakan stacked silicon interconnect (SSI) berdasarkan teknologi susun 3D CoWoS (chip on wafer on substrat) TSMC. Thompson mengatakan integrasi heterogen ini adalah bagian penting dari mengatasi apa yang disebut akhir dari Hukum Moore. Dia mengatakan arsitektur tradisional terhambat pada memori dan akses jaringan untuk aplikasi waktu nyata.

Seri Versal HBM menggunakan fondasi yang disediakan oleh Xilinx Versal Premium, tetapi menukar satu wilayah logika super (SLR) di perangkat untuk bertukar di tumpukan HBM2e, dan SLR lain untuk menambahkan pengontrol HBM terintegrasi. Hal ini memungkinkan arsitektur untuk pergerakan data yang cepat dan pemrosesan adaptif melalui integrasi kekayaan intelektual jaringan (IP) dan subsistem memori. Thompson menunjukkan bahwa Versal HBM mengintegrasikan 14 FPGA yang setara (dibandingkan dengan Xilinx Virtex EltraScale+), dan menggantikan 32 chip DDR5 dengan HBM terintegrasi.

Platform HBM baru menggabungkan inti jaringan yang dioptimalkan daya untuk bandwidth tinggi, konektivitas aman. Seri Versal HBM menawarkan bandwidth serial 5,6 Tb/dtk dengan transceiver PAM4 112 Gb/dtk, pita lebar Ethernet 2,4 Tb/dtk yang dapat diskalakan, throughput enkripsi laju baris 1,2 Tb/dtk, konektivitas Interlaken 600 Gb/dtk, dan 1,5 Tb/dtk bandwidth PCIe Gen5 dengan DMA internal, mendukung CCIX dan CXL. Rangkaian luas IP yang diperkeras ini menyediakan konektivitas jaringan multi-terabit siap pakai untuk berbagai protokol, kecepatan data, dan standar optik, memungkinkan daya dan kinerja yang optimal serta waktu yang cepat untuk dipasarkan.

Sebagai platform komputasi yang adaptif dan heterogen, seri Versal HBM dirancang untuk mempercepat berbagai beban kerja dengan set data besar, mengintegrasikan engine yang dapat disesuaikan untuk paralelisme perangkat keras latensi rendah, engine DSP untuk inferensi AI dan pemrosesan sinyal, dan engine skalar untuk tertanam komputasi, manajemen platform, serta boot dan konfigurasi yang aman. Tidak seperti akselerator fungsi tetap, seri Versal HBM dapat secara dinamis mengonfigurasi ulang perangkat keras dalam milidetik untuk beradaptasi dengan algoritme yang berkembang dan protokol yang muncul, sehingga tidak perlu mendesain ulang dan menerapkan ulang perangkat keras. Thompson memberi tahu kami “Ini dengan komputasi yang dapat disesuaikan penting untuk desain yang gesit.”

Konvergensi komputasi yang dapat disesuaikan dengan memori bandwidth tinggi dan konektivitas multi-terabit ini memungkinkan akselerasi cloud generasi berikutnya dan jaringan yang aman. HBM ACAP Versal memberikan kinerja yang baik dan efisiensi daya untuk beban kerja data besar termasuk deteksi penipuan, mesin rekomendasi, akselerasi database, analisis data, pemodelan keuangan, dan inferensi pembelajaran mendalam untuk pemrosesan bahasa alami (NLP). Dengan meningkatkan waktu proses berdasarkan urutan besarnya dibandingkan CPU kelas server modern, sambil mendukung kumpulan data 4X lebih besar, pengguna dapat menerapkan aplikasi dengan kumpulan data besar yang terhubung dengan server yang jauh lebih sedikit dan berbiaya lebih rendah.

Demikian pula, Versal HBM ACAP menghadirkan skalabilitas dan kinerja jaringan untuk router, sakelar, dan peralatan keamanan 800G. Implementasi unit pemrosesan jaringan (NPU) tradisional dari firewall generasi berikutnya 800G akan memerlukan beberapa perangkat NPU dan modul DDR, sedangkan satu Versal HBM ACAP menghilangkan memori eksternal dan melakukan pemrosesan paket, pemrosesan keamanan, dan deteksi anomali yang diinfuskan AI yang dapat disesuaikan di daya secara dramatis lebih rendah dan pada sebagian kecil dari faktor bentuk. Seri ini memberikan penghematan CapEx dan OpEx yang besar untuk penyedia cloud dan jaringan dengan memungkinkan pelanggan menggunakan lebih sedikit perangkat dan sistem untuk mengimplementasikan aplikasi mereka.

Dapat diakses oleh pengembang perangkat keras dan perangkat lunak, Versal HBM ACAP menyediakan titik masuk desain untuk pengembang mana pun, termasuk Vivado Design Suite untuk pengembang perangkat keras, platform perangkat lunak terpadu Vitis untuk pengembang perangkat lunak, dan Vitis AI untuk ilmuwan data dengan kerangka kerja dan domain khusus. perpustakaan akselerasi.

Seri Versal HBM dibangun di atas fondasi perangkat Versal 7nm yang telah terbukti produksi. Pengembang dapat mulai membuat prototipe pada perangkat seri Versal Premium dan papan evaluasi dan segera bermigrasi ke seri HBM Versal. Seri Versal HBM akan mulai mengambil sampel pada paruh pertama tahun 2022. Dokumentasi tersedia sekarang dan alat akan tersedia pada paruh kedua tahun 2021 melalui program akses awal.


Tertanam

  1. Apa itu Keamanan Cloud dan Mengapa Diperlukan?
  2. Peran dan tanggung jawab pekerjaan insinyur keamanan cloud
  3. Keamanan dan Manfaat Penting menggunakan Cloud Linux
  4. Maxim:pengawas keamanan chip tunggal menyediakan deteksi kerusakan dan kriptografi yang kuat
  5. Maxim:perawatan kesehatan yang dapat dikenakan, IoT, dan demo keamanan di dunia tertanam
  6. Arrow Electronics, Infineon, dan Arkessa mengumumkan perjanjian global
  7. Renesas:RX23E-A group mengintegrasikan MCU dan AFE presisi tinggi pada satu chip
  8. Infineon:OPTIGA Trust M untuk meningkatkan keamanan perangkat dan layanan yang terhubung ke cloud
  9. Swissbit:solusi keamanan berbasis perangkat keras untuk melindungi data dan perangkat
  10. Prosesor menangani konvergensi IoT dan AI