Manufaktur industri
Industri Internet of Things | bahan industri | Pemeliharaan dan Perbaikan Peralatan | Pemrograman industri |
home  MfgRobots >> Manufaktur industri >  >> Industrial programming >> VHDL

Cara memasang simulator dan editor VHDL secara gratis

Meskipun alat VHDL dan FPGA seringkali sangat mahal, mudah untuk mengakses perangkat lunak canggih secara gratis jika Anda seorang pelajar. Ada beberapa simulator, editor, dan IDE untuk bekerja dengan VHDL. Artikel ini menunjukkan cara menginstal dua program paling populer yang digunakan oleh insinyur VHDL.

Simulator VHDL

ModelSim Siemens EDA (sebelumnya Mentor Graphics) adalah simulator VHDL paling umum di luar sana, dan kemungkinan besar Anda harus menggunakan ModelSim atau rasa QuestaSim dari program dalam pekerjaan VHDL pertama Anda. Ini adalah praktik desain yang baik untuk membuat kode Anda se-portabel mungkin, dan kode di blog ini tidak terkecuali. Jika Anda memiliki akses ke simulator VHDL lain, lanjutkan dan gunakan; itu harus bekerja dengan cara yang sama.

Lisensi untuk simulator VHDL sangat mahal, tetapi untungnya, tersedia beberapa versi gratis dan legal dari simulator ModelSim VHDL.

Klik di sini untuk daftar opsi pemasangan simulator VHDL gratis untuk Windows, Linux , atau Mac

Editor VHDL

Anda dapat menulis kode secara langsung di editor bawaan di ModelSim, tetapi Anda lebih baik menggunakan editor eksternal. Saya akan menggunakan Notepad++ untuk seri tutorial saya, yang merupakan alternatif gratis yang bagus untuk Windows. Ini juga memiliki plugin VHDL untuk penyorotan sintaks.

Berikut adalah screencast cara mengunduh dan menginstal editor dan plugin VHDL:

Ketika Anda telah berhasil menginstal ModelSim dan Notepad++, Anda memiliki semua yang Anda butuhkan untuk mulai mempelajari VHDL!

Ikuti tutorial dasar VHDL di sini>>>P>

Mungkin Anda berpikir:“Bagaimana dengan perangkat keras? Apakah saya tidak memerlukan papan pengembangan FPGA atau semacamnya?". Pada akhirnya, Anda akan ingin mencoba kode Anda di perangkat keras yang sebenarnya, tetapi hanya untuk mempelajari VHDL, Anda hanya perlu simulator.


VHDL

  1. Dasar-dasar SRAM PUF dan cara menerapkannya untuk keamanan IoT
  2. Cara membuat daftar string di VHDL
  3. Cara membuat testbench berbasis Tcl untuk modul kunci kode VHDL
  4. Cara menggunakan Fungsi Tidak Murni di VHDL
  5. Cara menggunakan Fungsi di VHDL
  6. Cara menggunakan Prosedur di VHDL
  7. Cara membuat pengatur waktu di VHDL
  8. Cara membuat Proses Berjam di VHDL
  9. Cara menggunakan Konstanta dan Peta Generik di VHDL
  10. Cara Memasang dan Mengganti Rem Drum dengan Benar