Manufaktur industri
Industri Internet of Things | bahan industri | Pemeliharaan dan Perbaikan Peralatan | Pemrograman industri |
home  MfgRobots >> Manufaktur industri >  >> Industrial programming >> Verilog

Format Waktu Verilog

Arahan skala waktu Verilog menentukan unit waktu dan presisi untuk simulasi.

Verilog $timeformat fungsi sistem menentukan %t gaya pelaporan penentu format dalam pernyataan tampilan seperti $display dan $strobe .

Sintaks

  
  
$timeformat(<unit_number>, <precision>, <suffix_string>, <minimum field width>);

  

Nomor satuan Satuan waktu -3 1 md -6 1us -9 1ns -12 1ps -15 1fs

Contoh #1:1ns/1ps

Berikut adalah contoh bagaimana $timeformat mempengaruhi format tampilan satuan waktu.

  
  
`timescale 1ns/1ps

module tb;
  bit 	a;
  
  initial begin
    
    // Wait for some time - note that because precision is 1/1000 of
    // the main scale (1ns), this delay will be truncated by the 3rd
    // position
    #10.512351;
    
    // Display current time with default timeformat parameters
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Change timeformat parameters and display again
    $timeformat(-9, 2, " ns");
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Remove the space in suffix, and extend fractional digits to 5
    $timeformat(-9, 5, "ns");
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Here suffix is wrong, it should not be "ns" because we are
    // setting display in "ps" (-12) 
    $timeformat(-12, 3, " ns");
    $display("[T=%0t] a=%0b", $realtime, a);
    
    // Correct the suffix to ps
    $timeformat(-12, 2, " ps");
    $display("[T=%0t] a=%0b", $realtime, a);
  end
endmodule

  
Log Simulasi
xcelium> run
[T=10512] a=0
[T=10.51 ns] a=0
[T=10.51200ns] a=0
[T=10512.000 ns] a=0
[T=10512.00 ps] a=0
xmsim: *W,RNQUIE: Simulation is complete.

Contoh #2:1ns/100ps

Berikut adalah contoh yang sama dari atas dengan skala waktu yang berbeda.

  
  
`timescale 1ns/100ps

  
Log Simulasi
xcelium> run
[T=105] a=0
[T=10.50 ns] a=0
[T=10.50000ns] a=0
[T=10500.000 ns] a=0
[T=10500.00 ps] a=0
xmsim: *W,RNQUIE: Simulation is complete.

Contoh #3:100ns/1ns

  
  
`timescale 100ns/1ns

  

#1 mewakili 100ns dan karenanya #10 menghasilkan 1000ns

Log Simulasi
xcelium> run
[T=1051] a=0
[T=1051.00 ns] a=0
[T=1051.00000ns] a=0
[T=1051000.000 ns] a=0
[T=1051000.00 ps] a=0
xmsim: *W,RNQUIE: Simulation is complete.


Verilog

  1. Tutorial Verilog
  2. Rangkaian Verilog
  3. Tugas Verilog
  4. Pemblokiran &Non-Pemblokiran Verilog
  5. Fungsi Verilog
  6. Tugas Verilog
  7. Contoh Level Gerbang Verilog
  8. Generator Jam Verilog
  9. Fungsi Matematika Verilog
  10. Lingkup Skala Waktu Verilog