Manufaktur industri
Industri Internet of Things | bahan industri | Pemeliharaan dan Perbaikan Peralatan | Pemrograman industri |
home  MfgRobots >> Manufaktur industri >  >> Industrial Internet of Things >> Tertanam

Xilinx menggandakan Alveo HBM, menambahkan pengelompokan untuk HPC &beban kerja data besar

Pada konferensi superkomputer SC21 minggu ini, Xilinx memperkenalkan kartu akselerator pusat data Alveo U55C dan solusi pengelompokan berbasis API berbasis standar baru untuk menerapkan FPGA dalam skala besar. Perusahaan mengatakan dengan mengaktifkan pengelompokan ratusan kartu Alveo dan memungkinkan programabilitas tingkat tinggi dari aplikasi dan cluster, kartu baru ini membuat penskalaan kemampuan komputasi Alveo untuk menargetkan beban kerja komputasi kinerja tinggi (HPC) lebih mudah dan lebih efisien daripada sebelumnya.

Xilinx mengatakan kartu Alveo U55C dibuat khusus untuk HPC dan beban kerja data besar, menawarkan kepadatan komputasi dan kapasitas HBM (memori bandwidth tinggi) tertinggi dalam portofolio akselerator Alveo. Bersama dengan solusi pengelompokan berbasis Xilinx RoCE v2 yang baru, spektrum pelanggan yang luas dengan beban kerja komputasi skala besar kini dapat menerapkan pengelompokan HPC berbasis FPGA yang kuat menggunakan infrastruktur dan jaringan pusat data yang ada. Secara arsitektur, akselerator berbasis FPGA mengklaim memberikan kinerja tertinggi dengan biaya terendah untuk banyak beban kerja komputasi intensif. Ini memperkenalkan metodologi berbasis standar yang memungkinkan pembuatan kluster Alveo HPC menggunakan infrastruktur dan jaringan pelanggan yang ada.

Perusahaan mengatakan ini adalah lompatan besar ke depan untuk adopsi yang lebih luas dari Alveo dan komputasi adaptif di seluruh pusat data.

Dalam sebuah wawancara dengan embedded.com, Nathan Chang, manajer produk HPC untuk pusat data di Xilinx, mengatakan, “Kami mulai melihat bahwa komputasi tidak selalu menjadi hambatan. Sebenarnya, lebih sering daripada tidak cenderung menjadi bandwidth memori. Semakin banyak masalah komputasi menjadi terikat bandwidth memori. Jadi, kami menurunkan kartu kami menjadi satu slot, dan juga menggandakan HBM pada kartu itu. Namun yang lebih penting, kami menyediakan kemampuan untuk menskalakan seluruh kartu ini, dengan kemampuan untuk membuat kelompok besar dengan ratusan kartu dan menargetkan semua HBM pada kartu tersebut.”

Dia melanjutkan, “Membuka bandwidth di seluruh cluster kartu Alveo selalu menjadi upaya besar bagi komunitas kami. Pengembang harus membuat tim dan kemudian membuat desain pengelompokan mereka sendiri untuk memenuhi kebutuhan mereka. Sekarang kami maju dengan paket pengelompokan berbasis standar terbuka – artinya kami akan memanfaatkan RoCE v2, dan penghubung pusat data, di seluruh Ethernet dengan bandwidth 200 Gbps di setiap kartu.”

“Ini berarti bahwa dalam infrastruktur yang ada di pusat data, Anda akan dapat menempatkan kartu-kartu ini di server yang ada, dapat memanfaatkannya di jaringan ethernet yang ada, dan bersaing dengan InfiniBand dalam hal kinerja dan latensi.”

“Poin penting lainnya adalah kami tidak hanya menciptakan ruang untuk beban kerja yang lebih besar, tetapi kami juga memastikan Vitis lebih mudah diakses oleh komunitas pengembangan. Anda tidak perlu lagi memahami RTL atau Verilog. Anda dapat memprogram kartu Alveo dan menargetkan papan Alveo dengan bahasa tingkat tinggi yang ada seperti C, C++, dan Python.”

Fitur Alveo U55C untuk HPC dan data besar

Kartu Alveo U55C menggabungkan banyak fitur utama yang dibutuhkan oleh beban kerja HPC saat ini. Ini memberikan lebih banyak paralelisme saluran data, manajemen memori yang unggul, pergerakan data yang dioptimalkan di seluruh saluran, dan kinerja per watt tertinggi dalam portofolio Alveo, menurut Xilinx. Kartu ini memiliki faktor bentuk tinggi penuh, setengah panjang (FHHL) slot tunggal dengan daya maksimum 150W yang rendah. Kartu ini menawarkan kepadatan komputasi yang superior dan menggandakan HBM2 hingga 16GB dibandingkan dengan pendahulunya, kartu dual-slot Alveo U280. Oleh karena itu, U55C baru memberikan lebih banyak komputasi dalam faktor bentuk yang lebih kecil untuk membuat kluster berbasis akselerator Alveo yang padat. Ini menargetkan data streaming berkepadatan tinggi, matematika IO tinggi, dan masalah komputasi besar yang memerlukan penskalaan seperti analitik data besar dan aplikasi AI.

Memanfaatkan RoCE v2 dan penghubung pusat data, ditambah dengan bandwidth 200 Gbps, solusi pengelompokan berbasis API memungkinkan jaringan Alveo yang bersaing dengan jaringan InfiniBand dalam kinerja dan latensi, tanpa penguncian vendor. Integrasi MPI memungkinkan pengembang HPC untuk memperluas pipa data Alveo dari platform perangkat lunak terpadu Xilinx Vitis. Memanfaatkan standar dan kerangka kerja terbuka yang ada, perusahaan mengatakan bahwa sekarang dimungkinkan untuk menskalakan di ratusan kartu Alveo terlepas dari platform server dan infrastruktur jaringan dan dengan beban kerja dan memori bersama.

Pengembang perangkat lunak dan ilmuwan data dapat memperoleh manfaat dari Alveo dan komputasi adaptif melalui programabilitas tingkat tinggi dari aplikasi dan klaster yang memanfaatkan platform Vitis. Xilinx mengatakan telah banyak berinvestasi dalam platform pengembangan Vitis dan aliran alat untuk membuat komputasi adaptif lebih mudah diakses oleh pengembang perangkat lunak dan ilmuwan data tanpa keahlian perangkat keras. Kerangka kerja AI utama seperti Pytorch dan Tensorflow didukung, serta bahasa pemrograman tingkat tinggi seperti C, C++ dan Python, memungkinkan pengembang untuk membangun solusi domain menggunakan API dan pustaka tertentu, atau memanfaatkan kit pengembangan perangkat lunak Xilinx, untuk mempercepat HPC kunci dengan mudah beban kerja dalam pusat data yang ada.

Siapa yang menggunakan kartu?

Chang mengatakan perusahaan telah bekerja sama dengan beberapa organisasi dalam desain proof-of-concept menggunakan kartu U55C.

Salah satunya adalah CSIRO, organisasi riset nasional Australia bersama dengan susunan antena radio astronomi terbesar di dunia, yang menggunakan U55C daripada GPU, karena kartu Alveo memungkinkan kartu slot tunggal dan tidak memerlukan NIC (kartu antarmuka jaringan). CSIRO menggunakan kartu Alveo U55C untuk pemrosesan sinyal di teleskop radio susunan kilometer persegi. Menyebarkan kartu Alveo sebagai akselerator yang terhubung ke jaringan dengan HBM memungkinkan throughput besar dalam skala di seluruh cluster pemrosesan sinyal HPC. Cluster berbasis akselerator Alveo memungkinkan CSIRO menangani tugas komputasi besar-besaran untuk mengumpulkan, memfilter, menyiapkan, dan memproses data dari 131.000 antena secara real time. Bandwidth HBM2 460Gbps di seluruh cluster pemrosesan sinyal dilayani oleh 420 kartu Alveo U55C yang sepenuhnya terhubung ke jaringan bersama di seluruh sakelar 100Gbps yang mendukung P4. Cluster Alveo U55C memberikan kinerja pemrosesan dengan throughput keseluruhan pada 15Tb/dtk dalam daya yang ringkas dan footprint yang hemat biaya. CSIRO sekarang sedang menyelesaikan contoh desain referensi Alveo untuk membantu astronomi radio lain atau industri yang berdekatan mencapai kesuksesan yang sama.

Contoh kasus penggunaan lainnya adalah dengan perangkat lunak simulasi kecelakaan Ansys LS-DYNA, yang digunakan oleh hampir setiap perusahaan otomotif di dunia. Desain sistem keselamatan dan struktur bergantung pada kinerja model karena mereka mengurangi biaya pengujian tabrakan fisik dengan simulasi metode elemen hingga (FEM) desain berbantuan komputer. Pemecah FEM adalah algoritme utama yang menjalankan simulasi dengan ratusan juta derajat kebebasan, algoritme yang sangat besar ini dapat dipecah menjadi pemecah yang lebih sederhana seperti PCG, matriks Jarang, ICCG. Dengan menskalakan di banyak kartu Alveo dengan pipelining data paralel hiper, LS-DYNA dapat mempercepat kinerja lebih dari 5X dibandingkan dengan CPU x86. Hal ini menghasilkan lebih banyak pekerjaan per siklus jam dalam saluran Alveo dengan pelanggan LS-DYNA mendapat manfaat dari waktu simulasi perubahan game. “Dalam semangat inovasi tanpa henti, kami senang berkolaborasi dengan Xilinx untuk secara signifikan mempercepat pemecah elemen hingga, yang dapat mewakili 90% dari beban kerja komputasi untuk mekanik implisit, dalam aplikasi simulasi LS-DYNA kami,” kata Wim Slagter , direktur kemitraan strategis di Ansys. “Kami berharap akselerasi Xilinx membantu kami dalam misi kami untuk mendukung para inovator dalam merancang apa yang ada di depan.”

Xilinx mengutip contoh ketiga, yaitu TigerGraph, penyedia platform analisis grafik terkemuka. Perusahaan ini menggunakan beberapa kartu Alveo U55C untuk mengelompokkan dan mempercepat dua algoritme paling produktif yang mendorong rekomendasi berbasis grafik dan mesin pengelompokan. Database grafik adalah platform yang mengganggu bagi para ilmuwan data. Grafik mengambil data dari silo dan membawa fokus pada hubungan antar data. Perbatasan berikutnya untuk grafik adalah menemukan jawaban itu secara real time. Alveo U55C mempercepat waktu kueri dan prediksi untuk mesin rekomendasi dari menit ke milidetik. Dengan memanfaatkan beberapa kartu U55C untuk meningkatkan analitik, kekuatan komputasi dan bandwidth memori yang unggul mempercepat kecepatan kueri grafik hingga 45X lebih cepat dibandingkan dengan cluster berbasis CPU. Kualitas skor juga meningkat hingga 35 persen, menghasilkan kepercayaan diri yang lebih besar secara dramatis menurunkan positif palsu ke satu digit rendah.

Kartu Alveo U55C saat ini tersedia di situs web Xilinx dan melalui distributor resmi Xilinx. Ini juga tersedia untuk evaluasi melalui penyedia FPGA-as-a-service berbasis cloud publik, serta pusat data colocation tertentu untuk pratinjau pribadi. Pengelompokan sekarang tersedia untuk pratinjau pribadi, dengan ketersediaan umum diharapkan pada kuartal kedua tahun depan.


Tertanam

  1. Siemens menambahkan ke Veloce untuk verifikasi bantuan perangkat keras yang mulus
  2. TI:Teknologi resonator BAW membuka jalan untuk komunikasi generasi berikutnya
  3. DATA MODUL:teknologi bonding baru untuk proyek volume tinggi
  4. Cervoz:SSD kelas militer yang kokoh untuk aplikasi misi kritis
  5. CEVA:prosesor AI generasi kedua untuk beban kerja jaringan saraf dalam
  6. Kontron:standar komputasi tertanam baru COM HPC
  7. acceed:Modul I/O untuk komunikasi data yang dapat diskalakan
  8. Empat tantangan besar untuk industri Internet of Things
  9. Apakah big data akan memberikan obat untuk semua anggaran kesehatan yang bermasalah?
  10. Data Besar vs Kecerdasan Buatan